The OpenROAD Project https://theopenroadproject.org Foundations and Realization of Open and Accessible Design Mon, 12 Feb 2024 04:56:51 +0000 en-US hourly 1 https://theopenroadproject.org/wp-content/uploads/2022/01/OR-Icon-150x150.png The OpenROAD Project https://theopenroadproject.org 32 32 Supply Chain and Hardware Security using Open-source Solutions https://theopenroadproject.org/supply-chain-and-hardware-security-using-open-source-solutions/ Fri, 09 Feb 2024 10:26:55 +0000 https://theopenroadproject.org/?p=6161 Driving an open-source based vision for a resilient supply chain

The  VE-HEP  is an ambitious research project that aims to build an open-source based hardware ecosystem for trustworthy electronics and a strong, resilient supply chain as part of the HEP-alliance. The HEP alliance is a consortium of 8 academic and industry partners that are building the next generation of hardware security chips using fully open-source processors and EDA tools.

Hardware trust and secure microelectronics are key requirements that must be fulfilled by an integrated flow from architecture, design to manufacturing platform for an innovative, end-end chip design solution. These devices must function reliably and protect against malfunctions, attacks and accidents during operation. The use of open-source tools and processors are key components and provide differentiated capabilities in building and proving feasibility of this design ecosystem that are not otherwise possible using commercial tools.

OpenROAD is an important application for the generation and support of reliable, open-source hardware for trusted microelectronics. It has recently enabled the PDK support for the BiCMOS process technology created by IHP. OpenROAD is already silicon-proven in building SoCs using the RISC-V processor and its derivatives.

This is a 3 year project funded by the German Federal Ministry of Education and Research (BMBF) aimed at boosting the local semiconductor industry and EWD (Education and Workforce Development) at scale  for Germany.

This blog shares the use of OpenROAD as part of this important strategy for the creation of trusted hardware. Tim Henkes from RheinMain University of Applied Sciences, a part of VE-HEP,  shares his experience using the OpenROAD flow and other open-source tools to design and successfully tape out hardware security blocks.

Leveraging an open-source strategy for trusted microelectronics

The ability to create hardware for trusted microelectronics using open-source tools and hardware has far-reaching applications from military, defense, sensor based systems and personal devices. Trusted microelectronics based applications are especially important to the automotive industry since they are central to the business, brand and reputation.  The use of open-source specifically for design of secure hardware offers unique advantages  and enhances required capabilities:

  • Safety and Security
    • Prevention of cyber attacks and unlawful access to vehicle  and passenger information systems
    • Ensuring safety of both passenger and vehicle by preventing attacks on systems like anti-lock braking, collision avoidance, autonomous driving controls etc.
  • Reliability
    • Safe and reliable operation of the vehicle throughout its lifecycle under variable environmental conditions of temperature, vibrations , maintenance etc.
  • Supply chain resilience
    • Prevent counterfeiting and unauthorized hardware modifications that are dangerous and severely compromise passenger vehicle safety.
  • Compliance, Audits and Regulatory standards
    • Guaranteeing compliance, scrutiny and trust for environmental, safety and quality standards
    • Ability to modify processor and hardware architecture for future generation of vehicles and standards.
  • Continuous Innovation and Collaboration
    • Open-source tools and flows foster continuous innovation and collaboration among a diverse community of stakeholders: hardware designers, verification engineers, and security experts.

The figure below shows the architecture envisioned by the VE-HEP project to build a reliable and flexible model for trusted microelectronics using open-source design tools and processors.

Image source : HEP alliance

RISC-V and OpenROAD : A trusted flow for HSMs

VE-HEP chose RISC-V as the processor along with OpenROAD to build and study the feasibility of trusted electronics for security using IHP Open PDK.

RISC-V is the natural choice for many applications today due to its open, flexible architecture, ability to design without licensing costs and to rapidly create custom applications for a wide range of technology nodes. The choice of RISC-V with OpenROAD enables a wide range of applications based on IHP’s high performance, 130nm BiCMOS technology: Automotive, IoT, HPC, Medical, Space and Communications and many more.

OpenROAD is the foundational application for SoC design that has been deployed in many silicon-proven designs in > 600+ tapeouts, many of them based on RISC-V microcontrollers.

VE-HEP successfully taped out three designs using OpenROAD in 2023–two of these used OpenLane and the proprietary PDK for the sg13g2 BiCMOS process and produced successfully working chips!. In Dec 2023, Tim switched the flow to use, OpenROAD-flow-scripts (ORFS) for these advantages:

  • Fully open-source, Autonomous, No-Human-in-Loop (NHIL)  flow from RTL-GDS
  • Compatibility to other open-source and commercial tools
  • Support for the IHP PDK
  • Ease-of-use and flexibility
  • Developer friendly for easy integration and customization
  • Rapid turnaround for problem fixes and feature requests

Open-source for Design Hardening and Algorithmic security

Building trustworthy, reproducible and verifiable electronics requires a radically new approach in the design and manufacturing of chip components i.e processor, EDA tools and design and verification methodologies. Chips used for cryptographic operations must ensure algorithmic security during operation without leaking information or becoming vulnerable to hardware attacks.

Open Source design tools allow for code inspection ensuring that the EDA tool itself does not add any trojan to the hardware.

 VE-HEP aims to deliver such a flow created around open-source tools and design components that can be independently customized to suit specific requirements for hardware security  and supply chain sovereignty. To paraphrase their vision for the project : “Hardening the value chain through open-source, trustworthy EDA tools and processors, is to realize essential parts of the entire value chain in the area of ​​development and production of security-relevant chips (hardware security modules) in open source for the first time”.

OpenROAD enables transparency, ownership and hardening of critical cryptographic blocks earlier in the design stage unlike the late stage hardening supported in commercial tools. The OpenROAD based No-Human-in-Loop, RTL-GDS2 flow minimizes human intervention across vulnerable stages of the design. It automatically executes synthesis, DRC-correct PnR with support using the recently enabled open-source IHP PDK. This  makes it possible to prevent hardware trojan attacks, ensure wider transparency and scrutiny across the design and user community and scrutiny.

Hardware security modules (HSMs) store sensitive cryptographic keys and perform secure operations and are often vulnerable to attacks. Side channel attacks exploit unintended design and algorithmic weaknesses that leak information such as power and timing variations that can then be used to infer cryptographic keys and other sensitive information. Prevention of side channel attacks is a key requirement in the design and manufacturing of such hardware security blocks. Another mechanism used by hardware designers  is that of logic locking which allows the insertion of gates to encrypt critical functionality at the RTL level. Logic locking  protects the firmware and cryptographic algorithms implemented in these devices from tampering and reverse-engineering.  A multi-level approach to creating trusted hardware using open-source combines several of these techniques for secure hardware implementation and verification at various design stages thereby enhancing the efficiency and reliability in the design process and reducing costs.

Created HSMs using OpenROAD

“The transition from OpenLane to ORFS was painless. Since both use OpenROAD for large parts of the flow, knowledge acquired from using OpenLane transferred well to ORFS and it was not hard to set up the project build with ORFS. The design (Verilog) was exactly the same for the second and third tapeout.”, says Tim Henkes, describing the transition to ORFS.

The design consists of a RISC-V core, VexRiscv, extended with masked AES as well as a large number multiplication units with 8, 32KiB SRAMs.

The design description uses SpinalHDL that provides useful advantages over conventional RTL descriptions to generate Verilog which was then synthesized and routed using OpenROAD-flow-scripts.

The design source is located here: https://github.com/HEP-Alliance/VE-HEP-HW-SW.

Refer to the ORFS design repository containing all the relevant scripts and design artifacts here: https://github.com/HEP-Alliance/hsm

The design flow consists of a single pass of the NHIL flow in ORFS to generate the layout from the synthesized netlist. The entire design is hardened in a single pass to ensure security in the design stages.

Results

The figure below shows the final GDS layout for the ASIC, including SRAM, the VexRiscv core and the AES block generated in the OpenROAD flow .

Final layout of the ASIC using OpenROAD-flow-scripts

The successfully taped out chip is now being tested at the board level (shown below)

Future vision for Trusted Hardware and supply chain

HEP plans to expand this project to include other key components that strengthen hardware security features that enhance random number generation (TRNG), physical unclonability (PUF)  and protection of chip hardware (eFuses).

“Most importantly we want to add root-of-trust elements like a TRNG, potentially a PUF or eFuses. This will be a large part of the follow-up project we are currently planning. Parts of those efforts concern the tooling, other parts the open PDK.” concludes Tim, as he looks ahead into the near future plans for the project.

This aligns very closely with Germany’s and Europe’s vision for the semiconductor and IT industry.

OpenROAD is a critical enabler and partner in the effort to build trusted electronics and strengthen the hardware supply chain for the semiconductor industry at large.

Reference Links

https://riscv.org/news/2023/10/research-consortium-sets-standards-in-the-field-of-open-source-hardware-open-tools-used-for-a-security-chip/

https://github.com/IHP-GmbH/IHP-Open-PDK

]]>
OpenROAD projects for GSoC 2024 are announced and ready for applications! https://theopenroadproject.org/openroad-projects-for-gsoc-2024-are-announced-and-ready-for-applications/ Wed, 07 Feb 2024 04:08:23 +0000 https://theopenroadproject.org/?p=6159 OpenROAD projects for GSoC 2024 are announced and ready for applications! Please share with colleagues and friends who may be interested in these exciting opportunities for a summer internship. Refer to the link here for details: https://ucsc-ospo.github.io/project/osre24/openroad/openroad/

]]>
2023 has been a year of Rapid expansion and innovation for the OpenROAD project https://theopenroadproject.org/2023-has-been-a-year-of-rapid-expansion-and-innovation-for-theopenroad-project/ Wed, 10 Jan 2024 05:06:24 +0000 https://theopenroadproject.org/?p=6146 2023 has been a year of Rapid expansion and innovation for the OpenROAD project: Project outreach, new application capabilities, support for Education and Workforce programs and more!

OpenROAD 2023: A Year of Expansion

Goodbye 2023! Hello 2024! Greetings and a Happy New Year from the Precision Innovations team and The OpenROAD Project! Together, we enable innovation, learning, and building chips with lowered barriers of cost, access and expertise. This has been the mission and focus of the OpenROAD project since its inception in the DARPA IDEA program. Thank you to all for your engagement and support, and looking forward to your ongoing contributions!

2023 has been a year of expansion for OpenROAD across many areas: more tapeouts in silicon, more deployments toward education and workforce training, and many important tool enhancements.

Here, we overview important milestones achieved in 2023 and OpenROAD’s plans for 2024.

Tried and Tested for Real-world Applications

OpenROAD has been used for 600+ tapeouts in the Google OpenMPW shuttle program and the Efabless Chipignite program. These include many RISC-V based SoC designs using the OpenROAD-based flows OpenROAD-flow-scripts (ORFS) and OpenLane.  The combined benefits of an open processor ISA, open-source tool integration, and low costs of hardware development have enabled applications – from IoT and sensors to advanced processors and hardware accelerators – across a wide range of functions and technology nodes.

The true test of open-source tools like OpenROAD is how they apply and perform in the real world. A notable application is building hardware security and root-of-trust designs. The following are two example designs using OpenROAD-flow-scripts.

  • Root-of-trust.  Mehdi Saligane of the University of Michigan has taped out an Intel 16nm-based SoC containing digital and analog components such as a hardware security block, a processor and a temperature sensor. Specifically, the AES crypto core, the Ibex RISC-V processor, and the temperature sensor were built using OpenROAD and ORFS. Details will follow in a separate blog.
  • Trusted microelectronics is an initiative of HEP-Alliance, a group funded by the German government.  The initiative develops trusted security hardware, with strategic use of OpenROAD and other open-source tools, along with a resilient supply chain. Their design consists of a RISC-V core, VexRiscv, extended with masked AES as well as a large-number multiplication unit with eight 32KiB SRAMs. VE-HEP taped out their third update of this design in December 2023. Details will follow in a separate blog. 

Advancing Energy-efficient Processors Using Open-Source Design

Semiconductor startups are starting to leverage open-source tools to realize their designs. With OpenROAD, they gain the benefits of low cost, rapid innovation, transparency and expert support from Precision Innovations.

As one example, Ascenium deployed OpenROAD to develop energy-efficient designs for their General Purpose Processor using a 7nm ASAP7 PDK. Early and rapid microarchitectural exploration is key to their design strategy for development of high-performance, energy-efficient processors with significantly lower costs and greater efficiency than what is possible with commercial EDA tools. The Ascenium team is beginning to see significant progress in the tool usability, stability, and the rich features in the GUI needed to support their differentiated flow and design targets. Øyvind Harboe, VP of Engineering, has been spearheading this effort through an efficient support model with Precision Innovations; he engages proactively in a test-feedback-fix cycle that has been a win-win for all – Ascenium, Precision Innovations, the OpenROAD tool, and the user community at large. Harboe has pioneered a paradigm-shifting approach to designing stringent processor requirements for AI and other advanced applications, enabled by OpenROAD. He remarks: 

“OpenROAD has made a quantum leap in terms of scalability and stability in 2023. We use Bazel with OpenROAD-flow-scripts to enhance the productivity and efficiency of our workflows as we run multiple experiments, continuously integrate and test design changes. We are seeing many improvements overall in terms of the ability to handle large designs with complex macros, constraints that must be managed across the design hierarchy and efficient placement of specialized instances such as large arrays of standard cells. We look forward to enhancements to CTS, hierarchical timing analysis and other features like the automatic macro placement in OpenROAD to get to the superior performance and power targets that our processors deliver over conventional CPU architectures.”

We expect other semiconductor startups to use OpenROAD and gain similar advantages of cost and schedule by combining the best of open-source and commercial EDA tools.

Scaling Education and Workforce Development

OpenROAD is now a leading platform and on-ramp for VLSI education around the world. OpenROAD-based courses and training are the standard for barrier-free and hands-on education and workforce development across many institutions.  Importantly, this supports both growth and stability of semiconductor economies across the entire ecosystem.

Much progress has been made to build scalable and flexible courses, workshops and training programs to serve a wide range of learners, including high schoolers, undergraduates, advanced postgraduates, (Master’s and Ph.D.) and summer interns.

The following are some key highlights:

Austin Rovinski, an original member of the OpenROAD project and ongoing contributor, is an Assistant Professor in the ECE Department at NYU. He has put together an SoC design course as part of a series that uses open-source tools, focusing on the prototyping and evaluation of SoC designs. He notes:

“The goal of this course is to put students in a hardware startup or academic lab context. The key to success in both contexts is prototyping and evaluating solutions that address valuable needs. Therefore, students will learn about how to brainstorm, prototype, and evaluate hardware-based solutions using agile methodologies. FOSS tooling like OpenROAD will be incredibly important for these efforts, as I fully expect students to be able to take their experience from this course and use it to form a startup company if they desire.”

Continuous Development and Innovation

OpenROAD aims to break down barriers to chip design costs and innovation. This calls for continuous improvements to the application and flow capabilities with an ongoing focus on ease-of-use, PPA and access to resources such as training and documentation. The following is a summary of key enhancements to OpenROAD in 2023:

  • Ease-of-use, fast installation and setup to run the flow.
    • Significant improvements have been made to the installation process and our enhanced documentation for multiple hardware setup options.
    • Precision Innovations makes pre-built binaries available based on nightly builds that users can directly use without the need to build locally.
  • A real-time dashboard is available to customize and track key metrics for design quality: https://dashboard.theopenroadproject.org
  • New PDK enablement in ORFS.
    • HEP has added support for a public PDK for IHP to support their 130nm process technology, as part of the above-mentioned government-backed initiative for Trusted Microelectronics development based on OpenROAD and other open-source tools.
    • OpenROAD now supports a private PDK from SCL. This PDK will foster research, education, and the growth of a future semiconductor ecosystem in India. Such a technology base is important to regional semiconductor economies that depend on trusted microelectronics and a resilient supply chain using their own foundries.

Key Functionality Updates

The following are some highlights of features and fixes to OpenROAD and OpenROAD-flow-scripts aimed at improving design flow efficiency and accuracy.

  • Standard-cell placement and resizing now supports multi-height cells and hybrid rows
  • Support for vector-based power consumption calculation
  • Numerous improvements to the IO pin placer
    • Mirroring constraints
    • Simulated annealing solver for highly constrained designs
  • Resizer improvements in multiple areas
    • Vt swapping
    • Gate cloning
    • Pin swapping
    • Power recovery
  • Support for post-global-routing timing repair with incremental global routing
  • Improved timing-aware global routing, with updating of net priorities during global routing to account for detouring
  • Support for placement regions in global placement
  • GUI rendering allows for interrupting to improve responsiveness
  • Improved CTS obstacle avoidance and usability
  • OpenROAD: 1,019 PRs merged;  315 issues closed
  • OpenROAD-flow-scripts: 487 PRs merged; 112 issues closed
  • OpenROAD has now had more than 20,000 commits!

What’s Ahead?

OpenROAD continues to support more silicon deployments and to make the tool and flow robust and ready for industry applications. This has been well-supported by Precision Innovations for our early adopters. The OpenROAD Initiative was launched in 2023 with the goal of further democratizing chip design through active engagement with industry and academia. This non-profit foundation’s initial focus is to broadly support and foster education and workforce initiatives for EDA and semiconductor design.

Our roadmap includes improving the accuracy of advanced analysis (timing, noise, crosstalk). Notably, addition of CCS model support is in progress. For design creation, clock tree synthesis is being enhanced to support more complex design structures with improved usability and optimization QoR. The project team also plans to broaden support for routing capabilities to support advanced nodes <12nm. Finally, OpenROAD is entering the realm of ML and GenAI: a chat assistant and the use of ML-based analysis (IR drop, detailed routing, etc.) are being developed.

So stay tuned and connected! Please get involved and reach out if you are interested in advancing the OpenROAD tool or contributing to any of the project’s initiatives:

info@precisioninno.com

https://theopenroadproject.org/contact-us/

]]>
Precision Innovations Inc and Abacus Semiconductor Corporation partner to foster open-source SoC design using OpenROAD across the semiconductor user community https://theopenroadproject.org/precision-innovations-inc-and-abacus-semiconductor-corporation-partner-to-foster-open-source-soc-design-using-openroad-across-the-semiconductor-user-community/ Fri, 18 Aug 2023 04:48:46 +0000 https://theopenroadproject.org/?p=6119 Precision Innovations is partnering with Abacus Semiconductor Corporation to expand the use of open-source based SoC design software as part of its FOSS and Security ecosystem.  Precision’s OpenROAD based SoC design tools with proprietary extensions use permissive licensing thereby providing key advantages of open, collaborative, rapid design turn-around  with security features and easy code access that align well with design and development principles of Abacus Semiconductor Corporation. 

Abacus advocates open-source usage broadly and supports a diverse ecosystem of open-source tools that serve its differentiated product portfolio by breaking barriers of cost and innovation in proprietary tools.

https://www.abacus-semi.com/affiliations.html
https://www.abacus-semi.com/FOSS.html

Abacus Semiconductor Corporation is a fabless semiconductor company that designs and engineers processors, accelerators and smart multi-homed memories for use in supercomputers and in the backend for Artificial Intelligence and Machine Learning (including Large Language Models such as ChatGPT and GPT-4) as well as traditional High Performance Compute (HPC) applications.

https://www.abacus-semi.com/index.html

]]>
AWS deploys OpenROAD  for open-source EDA through a low-cost and easy-access cloud solution https://theopenroadproject.org/aws-deploys-openroad-for-open-source-eda-through-a-low-cost-and-easy-access-cloud-solution/ Wed, 14 Jun 2023 07:55:16 +0000 https://theopenroadproject.org/?p=6117 https://aws.amazon.com/blogs/industries/open-source-chip-design-on-aws/

]]>
Join us at DAC-2023 for an exciting Birds-of-a-Feather session on open-source EDA, on Wed, July 12 at 6:00pm, in SF Moscone Center West, Room 3001 https://theopenroadproject.org/join-us-at-dac-2023-for-an-exciting-birds-of-a-feather-session-on-open-source-eda-on-wed-july-12-at-600pm-in-sf-moscone-center-west-room-3001/ Wed, 14 Jun 2023 07:53:50 +0000 https://theopenroadproject.org/?p=6115 Admission is Free, Register today!  https://lnkd.in/gD95Qujh

]]>
The OpenROAD 7nm Design Contest Results are announced! https://theopenroadproject.org/the-openroad-7nm-design-contest-results-are-announced/ Tue, 11 Apr 2023 18:01:09 +0000 https://theopenroadproject.org/?p=5878 The OpenROAD 7nm Design contest broke many barriers– engaging a diverse global community to participate, learn and compete to solve challenging design problems using popular RISC-V base cores and an advanced technology node using asap7. Participants had the opportunity to learn IC design skills and apply the OpenROAD native flow -OpenROAD-flow-scripts through custom training videos and collaboration with experienced TAs.

It was a great opportunity for many to showcase their creativity and skills. We are glad to announce the winners : https://lnkd.in/gfUdkYbp

]]>
An ML-based ICCAD contest for Static IR Drop Estimation https://theopenroadproject.org/an-ml-based-iccad-contest-for-static-ir-drop-estimation/ Fri, 31 Mar 2023 04:37:01 +0000 https://theopenroadproject.org/?p=5854 Static IR drop is critical at advanced node design closure. We invite you to participate in this interesting and challenging OpenROAD-based ML contest by Steel Perlot and ASU announced at ICCAD 2023:
https://lnkd.in/g-uMNjSU.

Problem C: https://lnkd.in/guMGRD4E . Stay tuned for more updates!

]]>
Energy Efficient Design Starts with the Architecture https://theopenroadproject.org/energy-efficient-design-starts-with-the-architecture/ Sat, 11 Feb 2023 07:08:13 +0000 https://theopenroadproject.org/?p=5764

Developed with funding from DARPA MTO’s Intelligent Design of Electronic Assets (IDEA) program, OpenROAD™ provides Application Specific Integrated Circuit (ASIC) and System on Chip (SoC) design teams with an open source, no-human-in-loop, 24-hour chip place-and-route solution. OpenROAD’s value proposition is that it brings down the barriers of cost, expertise and unpredictability of proprietary solutions which currently block semiconductor creators and innovators.

To date, OpenROAD™ has been used on over 600 tapeouts, on process nodes down to 12nm. Because of its ease-of-use, a growing number of companies have also started to use OpenROAD up front in the development process, during hardware and software architectural exploration. Doing predictive architectural exploration is especially valuable when testing revolutionary new architectures and algorithms where empirical power, performance, and area (PPA) data do not exist.

As commercial processes have become highly proprietary, open predictive technology models available as public PDKS along with OpenROAD’s integrated RTL-GDSII flow, can fill the reliable estimation gap long before detailed design, enabling clear visibility into PPA tradeoffs. For example, the ASAP7 open source 7 nm FinFET PDK, supported by OpenROAD, includes abstract models for schematic and layout entry, library characterization, synthesis, placement and routing, parasitic extraction, and HSPICE simulation.

Precision Innovations Inc. (PII) is the primary industrial developer of OpenROAD providing custom support for the main applications, flows and PDKS for a simple, barrier-free use of design exploration and subsequent implementation in OpenROAD.

Recently PII partnered with Ascenium to provide dedicated support for design estimation using OpenROAD flow and ASAP7 to streamline Ascenium’s quest to deliver a new class of a low power, General Purpose Processor, without an instruction set, through an optimized compiler interface. “The exponential influx of data (video, audio, sensor) accelerated by Deep Learning and 5G increases the importance of compute efficiency for energy management.” Said Øyvind Harboe, VP of Engineering at Ascenium. “By leveraging OpenROAD as we explore architectures, get rapid feedback to make important and reliable hardware and software trade offs early in the design cycle before we commit to physical implementation at a fraction of the cost from using commercial tools. If you are someone who hankers to work on something insanely great, something different, – that can change the industry, leveraging open-source tools, such as LLVM or OpenROAD, then please check out the career opportunities at our website, www.ascenium.com.”

If you too would like to talk with PII about dedicated support for your projects, please contact info@precisioninno.com

]]>
OpenROAD 2022: Year End Review https://theopenroadproject.org/openroad-2022-year-end-review/ Wed, 01 Feb 2023 08:08:22 +0000 https://theopenroadproject.org/?p=5640

2022 has been a very exciting and productive year for the OpenROAD™ project. We made good progress across main project areas including core technologies, tool quality, PPA outcomes and targeted outreach programs. This has led to a wider usage of our tools in various applications and an exponential growth in our user community.

Our core mission is to make OpenROAD based IC design easy-to-use, learn-at-scale and  foster system level innovation.Here are some of the key project achievements of 2022 towards these goals.

Key Innovations in Core Technologies, Cloud and ML based execution

We added several new tools and enhanced core tool functionality in the database, partitioning, placement, floorplanning and routing tools to significantly improve runtime, design quality and flow robustness.

A Hierarchy-aware Macro placer

RTL-MP was created to give RTL designers more control and early insights into physical implementation, make architectural tradeoffs through intelligent logic-aware choices, smart clustering and shaping clouds of logic native to the logical hierarchy. This results in dense layouts with superior performance, utilization and area comparable to custom design. 

Figure below is a sample design that shows an RTL-MP generated layout for a 12nm Black Parrot design. Macro placements were automatically deduced with knowledge of placement constraints to improve performance (fmax)  and reduce wire lengths to achieve quality which is comparable to custom layout quality.

Rapid Design Exploration and PPA Estimation using ML – AutoTuner

As part of our ongoing research to continually improve PPA without increasing runtime, we created the OpenROAD™  AutoTuner to enable designers to rapidly explore the design space for a range of design configurations by leveraging the power of machine learning and cloud computing. AutoTuner’s hyperparameter optimization allows designers to automatically set up and execute thousands of experiments on the cloud thereby achieving PPA possible at a fraction of the cost and runtime.

AutoTuner test results on representative benchmarks (like IBEX on sky130)  reveal up to a 3X improvement in runtime. These were tested using a Kubernetes Ray cluster over GCP with a provision for distributed detailed routing with one load balancer and 30 servers of 15 CPUs. Detailed testing on the router provided clear insights into architectural tradeoffs of distributed vs multi-threaded processing, single vs multiple machine configurations.

Leveraging the Cloud for Efficient Resources and Performance

OpenROAD’s COPILOT: Cloud Optimized Physical Implementation Leveraging OpenROAD Technology, intelligently deploys cloud and compute resources to enhance runtime performance of the distributed router. Our research yielded intelligent interventions in predicting failed runs and focusing on stubborn, recurring subproblems such as DRC failures allowing better control of batch executions. Early testing shows a 10X speedup in detailed routing in select test cases before reaching a plateau.

A new partitioner (TritonPart) aims to better manage design instance constraints and cluster engines to yield good global placement which is key to achieving good design quality and faster closure with a potential for a 1X speedup. 

In 2022, OpenROAD added support for a tighter integration of design data to its open database (ODB) that significantly improved incremental design analyses and optimization. We began creating a full python API to OpenROAD to make it easier to extend and utilize python ML based libraries in applications;this further improved runtime performance especially during optimization.

A hierarchical design methodology vastly simplifies design complexity, memory usage and runtime management for large designs (>500k instances). The timing model from netlist was enhanced to provide  better support for design hierarchy and include extracted parasitics at block and interconnect signals for accurate top-level timing analysis.

The OpenROAD GUI was significantly enhanced– It supports better hierarchy browsing, viewing and analyzing problems such as off-grid pins and routing, rulers and markers, and heatmaps for hotspot analyses.

Improved QoR through Continuous Innovation and CI

In 2022 we sharpened our focus on PPA targets. The AutoTuner allows designers to get over a 3X runtime speedup over manual design, with best achievable PPA based on user constraint ranges for design parameters. Furthermore, users can capture key design metrics and continuously track QoR and interventions to improve them.

RTL-MP showed great potential for large designs in enabling RTL designers to make early design tradeoffs with rapid feedback from the floorpan and thus get better QoR without sacrificing speed.

We saw QoR improvements throughout our gallery of designs.  Here is a sample public dashboard that captures and tracks QoR changes across our nightly builds across a gallery of designs: https://dashboard.theopenroadproject.org/. Design metrics are captured for nightly builds and compared against golden runs for goodness.

We plan to make such capabilities available to users to enable them to capture key design metrics and track QoR across design changes. 

OpenROAD™ continued its synergy with the MacroPlacement effort to provide an open, transparent version of Google Brain’s deep reinforcement learning-based method.

Silicon Support and Tapeouts

We had several notable design successes: intel16 tapeout by Army Labs (ARL) and a GF12 based mixed-signal design by the University of Michigan. The ARL tapeout also advanced capabilities in the power network creation along with automatic I/O Pad placement functionality.

We also prioritized PDK support as a key enabler of open-source design; we added support for GF180MCU public PDK that launched the first Google sponsored shuttle  on this node.

As part of the ongoing effort to improve tool quality and results, we implemented a continuous and automated CI for over 100 Open MPW  user designs from shuttles MPW2-7.  This helps find QoR degradations, tool bugs and other flow issues. Using GitActions based workflows, users can automatically monitor and update their designs based on QoR or software update alerts from their CI. OpenLane was used in > 600 tapeouts  to-date from MPW5-7 onwards to MPW-8. 

Enhancing Industry focus, User communications and Outreach

We made a lot of progress in our communication and outreach programs to expand our community for an improved learning experience and productive engagement. A new website now shares important updates and provides access to key resources such as tutorials, papers, blogs and interesting user stories showcasing interesting use models  and innovations in research: https://theopenroadproject.org/user-stories/. As a result, we have seen a rapid increase in user subscription through our website and GitHub.

OpenROAD is fast becoming the de facto application for Open-Sourced IC design tools for research and skill development. OpenROAD is an ongoing training partner for IC design courses with UCSC extension– two courses as part of UCSC extension in the fall of 2022 : Advanced Physical Design and Timing closure. https://www.ucsc-extension.edu/certificates/vlsi-engineering/. These courses continue in 2023.

Several Universities have signed MoUs to use OpenROAD based design courses. University of Costa Rica, taught a semester-long course on Microelectronics and basic VLSI design. OpenROAD continues to drive global research,  innovation and workforce development at major universities and the general body of users. 

We offered three OpenROAD based internship projects at GSoC 2022 with a goal of fostering direct contribution in the form of tutorials, documentation and other development needs. This is a great opportunity for students to learn, contribute and advance their skills in EDA tools and IC design.

Tom Feist joined us as part of the EEI – Embedded Entrepreneur Initiative to guide the project along a path towards Industry adoption and product stabilization.

OpenROAD Focus for 2023

The following are key areas of focus planned for 2023:

  • Enhance usability in software installation, distribution and execution.  Runtime efficiency through effective partitioning, distribution and multi-threaded processing over a single machine and across the cloud.
  • Major features support:
    • OpenROAD as a cockpit for fast, low-cost design exploration and prediction for high-confidence convergence and handoff to back-end implementation in OpenROAD and other EDA tools.
    • Support for UPF, dynamic power simulation, multiple-power domains
    • A hierarchical macro placer that is more efficient for hierarchical placements. This will replace the default macro placer.
    • Basic support for DFT- scan-chain integration
    • CTS improvements
    • Enhanced timing model for better accuracy
  • Enhanced support for public and private PDKS for design exploration at key technology nodes
    • Skywater (SKY90) enablement
    • Creation of proxy PDKs such as ASAP7 for accurate predictability for implementation in real applications
  • QoR improvements – “Measure and track what you need to improve”
    • Closing the gap to measurable PPA targets for important designs.These includes auto-tuning of key tools in the flow (router, cts etc.)
    • Use important metrics  through dynamic tracking and actionable insights both internally and to enable users to track their design progress in an ongoing manner.
  • Education and Workforce Development

OpenROAD will expand initiatives through key partners to support and foster  barrier-free, low/no-cost education for students, researchers and other professionals looking to upskill and gain employment in the semiconductor industry.

Finally, we would like to see you use OpenROAD™ in many more exciting applications and share your experiences with us! Reach out and share your ideas and comments : https://theopenroadproject.org/contact-us/

Stay tuned and Happy Learning in 2023 and onwards!

]]>