A. B. Kahng, “Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond”, (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE Intl. Symp. on Physical Design, 2022. [backup .mp4]