Publications

TitlePublication DetailsFileYear
OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool ChainT. Ajayi, D. Blaauw, T.-B. Chan, C.-K. Cheng, V. A. Chhabria, D. K. Choo, M. Coltella, S. Dobre, R. Dreslinski, M. Fogaça, S. Hashemi, A. Hosny, A. B. Kahng, M. Kim, J. Li, Z. Liang, U. Mallappa, P. Penzes, G. Pradipta, S. Reda, A. Rovinski, K. Samadi, S. S. Sapatnekar, L. Saul, C. Sechen, V. Srinivas, W. Swartz, D. Sylvester, D. Urquhart, L. Wang, M. Woo and B. Xu, “OpenROAD: Toward a Self-Driving, Open-Source Digital Layout Implementation Tool Chain", Proc. Government Microcircuit Applications and Critical Technology Conference, 2019, pp. 1105-1110.Paper (.pdf)2019
Toward an Open-Source Digital Flow: First Learnings from the OpenROAD ProjectT. Ajayi, V. A. Chhabria, M. Fogaça, S. Hashemi, A. Hosny, A. B. Kahng, M. Kim, J. Lee, U. Mallappa, M. Neseem, G. Pradipta, S. Reda, M. Saligane, S. S. Sapatnekar, C. Sechen, M. Shalan, W. Swartz, L. Wang, Z. Wang, M. Woo and B. Xu, “Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project“, Proc. ACM/IEEE Design Automation Conference, 2019, pp. 76:1-76:4. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2019
DATC RDF-2019: Towards a Complete Academic Reference Design FlowJ. Chen, I. H.-R. Jiang, J. Jung, A. B. Kahng, V. N. Kravets, Y.-L. Li, S.-T. Lin and M. Woo, “DATC RDF-2019: Towards a Complete Academic Reference Design Flow“, Proc. ACM/IEEE International Conference on Computer-Aided Design, 2019, pp. 1-6. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2019
Looking Into the Mirror of Open SourceA. B. Kahng, “Looking Into the Mirror of Open Source", Proc. ACM/IEEE International Conference on Computer-Aided Design, 2019, pp. 1-8. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2019
Open-Source EDA: If We Build It, Who Will Come?A. B. Kahng, “Open-Source EDA: If We Build It, Who Will Come?“, Proc. IFIP/IEEE International Conference on Very Large Scale Integration, 2020, pp. 1-6. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2020
DATC RDF-2020: Strengthening the Foundation for Academic Research in IC Physical DesignJ. Chen, I. H.-R. Jiang, J. Jung, A. B. Kahng, V. N. Kravets, Y.-L. Li, S.-T. Lin and M. Woo, “DATC RDF-2020: Strengthening the Foundation for Academic Research in IC Physical Design", Proc. ACM/IEEE International Conference on Computer-Aided Design, 2020, pp. 1-6. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2020
Bridging Academic Open-Source EDA to Real-World UsabilityA. Rovinski, T. Ajayi, M. Kim, G. Wang and M. Saligane, “Bridging Academic Open-Source EDA to Real-World Usability", Proc. ACM/IEEE International Conference on Computer-Aided Design, 2020, pp. 1-7. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2020
Contributions to OpenROAD from Abroad: Experiences and LearningsM. Fogaça, E. Monteiro, M. Danigno, I. Oliveira, P. F. Butzen and R. Reis, “Contributions to OpenROAD from Abroad: Experiences and Learnings", ACM/IEEE International Conference on Computer-Aided Design, 2020, pp. 1-8. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
2020
The OpenROAD Project: Unleashing Hardware InnovationA. B. Kahng and T. Spyrou, “The OpenROAD Project: Unleashing Hardware Innovation", Proc. Government Microcircuit Applications and Critical Technology Conference, 2021.Paper (.pdf)
Presentation (.mp4)
2021
DATC RDF-2021: Design Flow and BeyondJ. Chen, I. H.-R. Jiang, J. Jung, A. B. Kahng, S. Kim, V. N. Kravets, Y.-L. Li, R. Varadarajan and M. Woo, “DATC RDF-2021: Design Flow and Beyond", Proc. ACM/IEEE International Conference on Computer-Aided Design, 2021, pp. 1-6. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
Presentation (.mp4)
2021
METRICS2.1 and Flow Tuning in the IEEE CEDA Robust Design Flow and OpenROADJ. Jung, A. B. Kahng, S. Kim and R. Varadarajan, “METRICS2.1 and Flow Tuning in the IEEE CEDA Robust Design Flow and OpenROAD", Proc. ACM/IEEE International Conference on Computer-Aided Design, 2021, pp. 1-9. (Invited Paper)Paper (.pdf)
Presentation (.pptx)
Presentation (.mp4)
2021
DAC-2021Prof. Kahng presented _these slides at the "Exchanging EDA Data for AI/ML Using Standard API" _panel session_ in the Design, IP and Embedded Systems Track at DAC-2021. Presentation (.pptx)
Panel Session
2021
RTL-MP: Toward Practical, Human-Quality Chip Planning and Macro PlacementA. B. Kahng, R. Varadarajan and Z. Wang, “RTL-MP: Toward Practical, Human-Quality Chip Planning and Macro Placement", Proc. ACM/IEEE Intl. Symp. on Physical Design, 2022To Appear2022
Leveling Up: A Trajectory of OpenROAD, TILOS and BeyondA. B. Kahng, "Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond", Proc. ACM/IEEE Intl. Symp. on Physical Design, 2022, to appear.
Paper (.pdf)
Presentation (.pptx)
Presentation (.mp4)
2022
IEEE CEDA DATC RDF and METRICS2.1: Toward a Standard Platform for ML-Enabled EDA and IC DesignProf. Kahng, Jinwook Jung (IBM Research), Seungwon Kim and Ravi Varadarajan gave Tutorial #1, "IEEE CEDA DATC RDF and METRICS2.1: Toward a Standard Platform for ML-Enabled EDA and IC Design", today at ASP-DAC 2022.Presentation part1 (.pptx)
Presentation part1 (.mp4)
Presentation part 2 (.pptx)
Presentation part 2 (.mp4)
Presentation part 3 (.pptx)
Presentation part 3 (.mp4)
Presentation part 4 (.pptx)
Presentation part 4 (.mp4)
Presentation part 5 (.pptx)
Presentation part 5 (.mp4)
Presentation part 6 (.pptx)
Presentation part 6 (.mp4)

Presentation part 7 (.pptx)
Presentation part 7 (.mp4)
Presentation parts 1-7 integrated (.pptx)
Presentation parts 1-7 integrated (.mp4)

Additional tutorial materials are here.













January 17, 2022
AI/ML, Optimization and EDA in TILOS, an NSF National AI Research Institute Prof. Kahng gave a talk, "AI/ML, Optimization and EDA in TILOS, an NSF National AI Research Institute," today at a Synopsys APUP Special Session.
Exciting to see 500+ folks on the Zoom! _
Paper (pdf)
Presentation (.ppt)
Presentation (video)
January 18, 2022
The OpenROAD Project: Today and Beyond Prof. Kahng gave a talk, "The OpenROAD Project: Today and Beyond", today at the UCLA ECE Department colloquium.Presentation (PPT)February 14, 2022
Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond Prof. Kahng presented an ISPD-2022 keynote talk, "Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond"Paper (.pdf)
Presentation (.pptx)
Presentation (.mp4)
Presentation 1 (.mp4)
March 29, 2022
A University Research Perspective and Update on AI-Enhanced Co-Design of MicroelectronicsProf. Kahng presented "A University Research Perspective and Update on AI-Enhanced Co-Design of Microelectronics" at the Sandia National Laboratories 2022 Workshop on AI-Enhanced Co-Design for Next-Generation Microelectronics.Presentation part (.pptx)
April 5, 2022
The OpenROAD Project: A Foundation for Research and Education in EDA and IC DesignProf. Kahng presented "The OpenROAD Project: A Foundation for Research and Education in EDA and IC Design" at today's CICC-2022 Educational Session 3 on System Design with Open Source Tools.Presentation (.pptx)
Presentation (.mp4)
CICC-2022 Educational Session 3
April 24, 2022
The OpenROAD Project: An open-source platform for IC design innovationProf. Kahng presented "The OpenROAD Project: An open-source platform for IC design innovation" at today's Circuits Workshop 2 (The Emerging Ecosystem of Open-Source Chip Design) of the 2022 VLSI Symposium.
Presentation (.pptx)
Presentation (.mp4)
June 16, 2022
Advancing PlacementA. B. Kahng, "Advancing Placement", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE Intl. Symp. on Physical Design, 2021, pp. 15-22. (Invited Paper)Paper (.pdf)

Presentation (.pptx)

Presentation (.mp4)
March 22, 2021
A Novel Framework for DTCO: Fast and Automatic Routability Assessment with Machine Learning for Sub-3nm Technology OptionsC. Chidambaram, A. B. Kahng, M. Kim, G. Nallapati, S.C. Song and M. Woo, "A Novel Framework for DTCO: Fast and Automatic Routability Assessment with Machine Learning for Sub-3nm Technology Options", (.pdf), (.pptx), (.mp4), Proc. IEEE Symposium on VLSI Technology, 2021, pp. 1-2.Paper (.pdf)
Presentation (.pptx)
Presentation (.mp4)
June 2021
CoRe-ECO: Concurrent Refinement of Detailed Place-and-Route for an Efficient ECO AutomationC.-K. Cheng, A. B. Kahng, I. Kang, M. Kim, D. Lee, B. Lin, D. Park and M. Woo, "CoRe-ECO: Concurrent Refinement of Detailed Place-and-Route for an Efficient ECO Automation", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE International Conference on Computer Design, 2021, pp. 366-373.Presentation (.pptx)
Paper (.pdf)
Presentation (.mp4)
June 2021
VeriGOOD-ML: An Open-Source Flow for Automated ML Hardware SynthesisH. Esmaeilzadeh, S. Ghodrati, J. Gu, S. Guo, A. B. Kahng, J. K. Kim, S. Kinzer, R. Mahapatra, S. D. Manasi, E. Mascarenhas, S. S. Sapatnekar, R. Varadarajan, Z. Wang, H. Xu, B. R. Yatham and Z. Zeng, "VeriGOOD-ML: An Open-Source Flow for Automated ML Hardware Synthesis", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE International Conference on Computer-Aided Design, 2021, pp. 1-7. (Invited paper)Presentation (.pptx)
Paper (.pdf)
Presentation (.mp4)
June 2021
Physically Accurate Learning-based Performance Prediction of Hardware-accelerated ML AlgorithmsH. Esmaeilzadeh, S. Ghodrati, A. B. Kahng, J. K. Kim, S. Kinzer, S. Kundu, R. Mahapatra, S. D. Manasi, S. S. Sapatnekar, Z. Wang and Z. Zeng, "Physically Accurate Learning-based Performance Prediction of Hardware-accelerated ML Algorithms", (.pdf), (.pptx), Proc. ACM/IEEE Workshop on Machine Learning for CAD, 2022, to appear.Presentation (.pptx)
Paper (.pdf)
2022
From Global Route to Detailed Route: ML for Fast and Accurate Wire Parasitics and Timing PredictionV. A. Chhabria, W. Jiang, A. B. Kahng, S. S. Sapatnekar, "From Global Route to Detailed Route: ML for Fast and Accurate Wire Parasitics and Timing Prediction", (.pdf), Proc. ACM/IEEE Workshop on Machine Learning for CAD, 2022, to appear.Paper (.pdf)2022
SpecPart: A Supervised Spectral Framework for Hypergraph Partitioning Solution ImprovementI. Bustany, A. B. Kahng, Y. Koutis, B. Pramanik and Z. Wang, "SpecPart: A Supervised Spectral Framework for Hypergraph Partitioning Solution Improvement", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE International Conference on Computer-Aided Design, 2022, to appear. (Best Paper Award)Presentation (.pptx)
Paper (.pdf)
Presentation (.mp4)
2022
IEEE CEDA DATC: Expanding Research Foundations for IC Physical Design and ML-Enabled EDAJ. Jung, A. B. Kahng, R. Varadarajan and Z. Wang, "IEEE CEDA DATC: Expanding Research Foundations for IC Physical Design and ML-Enabled EDA", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE International Conference on Computer-Aided Design, 2022, to appear. (Invited Paper)Presentation (.pptx)
Paper (.pdf)
Presentation (.mp4)
2022
A Mixed Open-Source and Proprietary EDA Commons for Education and PrototypingA. B. Kahng, "A Mixed Open-Source and Proprietary EDA Commons for Education and Prototyping", (.pdf), (.pptx), (.mp4), Proc. ACM/IEEE International Conference on Computer-Aided Design, 2022, to appear. (Invited Paper)Presentation (.pptx)
Paper (.pdf)
Presentation (.mp4)
2022
A Supervised Spectral Framework for Hypergraph Partitioning Solution Improvement Congratulating EventCongratulations to Bodhi Pramanik and Zhiang Wang, along with coauthors Ismail Bustany and Yiannis Koutis, on receiving the ACM/IEEE William J. McCalla ICCAD Best Paper Award (back end) today ! speaker notes), and short video.Paper ( .pdf)
Presentation (.pptx)
Presentation (.mp4)

October 31, 2022
Challenges and Opportunities for AI in Electronic Design AutomationProf. Kahng gave the opening talk, "Challenges and Opportunities for AI in Electronic Design Automation", at the 2022 BrainLink X-Lab Day meeting (Global Technology Networking for System Semiconductor Innovation) in Busan, South Korea.
Presentation (.pptx)
November 14, 2022
Some Thoughts on the DTCO Enablement GapProf. Kahng gave a talk, "Some Thoughts on the DTCO Enablement Gap", today for an audience at Samsung Semiconductor R&D. Presentation (.pptx)November 22, 2022
Update #2Prof. Kahng posted this second update to the June 6 "For the Record" Google Doc. There has been substantial progress along directions of methodology, baselines, and ablation studies in our efforts to provide an open, transparent baseline implementation of Google Brain's Circuit Training method. Kudos and thanks to all who have engaged in this effort! Paper (.pdf)November 27, 2022
Update #3Prof. Kahng posted this third update to the June 6 "For the Record" Google Doc. There has been further progress on many fronts, including strong human and non-RL baselines, open-source implementation of simulated annealing and force-directed placement, and bringup of new testcases and tool flows. Continued kudos and thanks to all who have engaged in this effort!Paper (.pdf)December 21, 2022
OpenROAD and key directions for the open-source EDA communityProfessor Andrew Kahng shares his vision about OpenROAD and key directions for the open-source EDA community, at OSDA-2023, the 3rd Workshop on Open-Source Design Automation in Antwerp. How will we move ahead faster together? : Raising bars, breaking down barriers, building infrastructure and better proxies.
Paper (.pdf)April 19, 2023

We are creating new base technologies
that enable 24-hour autonomous
design, bringing down barriers
and democratizing hardware design.