An OpenROAD based IC Design course for Spanish Learners

Professor Erick Carvajal teaches VLSI and microelectronics based courses at the University of Costa Rica. In 2021, he was actively looking to set up his undergraduate, Microelectronics course using conventional EDA tools. However, these were very expensive and difficult to set up for the class requirements given software licensing constraints and server resources limitations. Hence […]

Automated SoC, Mixed-Signal Design using OpenROAD and OpenFASoC

OpenROAD™ is a foundational application for open-source VLSI design from RTL to GDSII. It is used widely by many popular Open Source tools and initiatives that foster and enable ASIC and FPGA design flows and methodologies. One such initiative is  FASoC, a DARPA-funded program across multiple universities and industry leaders such as Arm, led by […]

Using Git Action For OpenLane Design Change Management

The OpenROAD™ project contains a specific repository that defines a set of useful actions that can be triggered to implement several valuable functions. Refer to the repository https://github.com/The-OpenROAD-Project/actions. The README.md file lists the actions provided for various useful functions that can be applied to the OpenROAD project repositories. One such function is openlane_run. The action […]

Post Page

OpenROAD Welcome to OpenROAD’s documentation! The OpenROAD™ (“Foundations and Realization of Open, Accessible Design”) project was launched in June 2018 within the DARPA IDEA program. OpenROAD aims to bring down the barriers of cost, expertise and unpredictability that currently block designers’ access to hardware implementation in advanced technologies. The project team (Qualcomm, Arm and multiple […]

Getting Started with OpenROAD App – Part 3

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]

Getting Started with OpenROAD App – Part 1

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]

Getting Started with OpenROAD App – Part 3

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]

Getting Started with OpenROAD App – Part 3

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]

Getting Started with OpenROAD App – Part 2

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]

Getting Started with OpenROAD App – Part 1

In digital design, a circuit is described in a hardware description language (e.g. Verilog) and the goal is to manufacture it. To get the actual layout of the circuit that is manufacturable, it needs to pass through a number of steps before handing it over to a fabrication lab. In this post, we briefly give […]